數(shù)字電路設(shè)計(jì)方案范文

時(shí)間:2023-10-19 17:18:17

導(dǎo)語(yǔ):如何才能寫好一篇數(shù)字電路設(shè)計(jì)方案,這就需要搜集整理更多的資料和文獻(xiàn),歡迎閱讀由公務(wù)員之家整理的十篇范文,供你借鑒。

篇1

一、人才培養(yǎng)方案設(shè)計(jì)思路

人才培養(yǎng)方案的設(shè)計(jì)和制訂是整個(gè)人才培養(yǎng)過程的基礎(chǔ)和保障,只有建立了科學(xué)合理的人才培養(yǎng)方案才能確保各種培養(yǎng)措施的正確實(shí)施,培養(yǎng)目標(biāo)的真正實(shí)現(xiàn)。人才培養(yǎng)方案就要確定“培養(yǎng)什么樣的人”和“如何培養(yǎng)”兩個(gè)問題,主要包括培養(yǎng)目標(biāo)、培養(yǎng)規(guī)格、課程體系和課程配置等要素。根據(jù)高職教育的目標(biāo)和特點(diǎn),我們按照以下思路進(jìn)行人才培養(yǎng)方案的設(shè)計(jì):

1.圍繞企業(yè)需求和職業(yè)面向確定培養(yǎng)目標(biāo)。高職院校服務(wù)地方經(jīng)濟(jì)建設(shè)和社會(huì)發(fā)展,應(yīng)通過廣泛、深入的市場(chǎng)調(diào)查和企業(yè)調(diào)研,了解用人單位的需求,根據(jù)專業(yè)的職業(yè)面向和就業(yè)崗位群確定專業(yè)培養(yǎng)目標(biāo),使高職人才培養(yǎng)具有明顯的職業(yè)方向性和崗位針對(duì)性。同時(shí)在確定專業(yè)培養(yǎng)目標(biāo)時(shí)還要考慮崗位適應(yīng)性,既要確定直接就業(yè)目標(biāo)還要確定崗位發(fā)展目標(biāo),體現(xiàn)高職教育的基本崗位操作能力與可持續(xù)發(fā)展能力的統(tǒng)一。

2.圍繞職業(yè)能力要求確定能力體系。在明確了就業(yè)崗位和專業(yè)培養(yǎng)目標(biāo)后,要進(jìn)行職業(yè)崗位分析,通過對(duì)各崗位的具體工作范圍、工作任務(wù)和工作過程的分析,總結(jié)典型工作任務(wù),明確職業(yè)崗位群的職業(yè)能力和素質(zhì)要求,形成定位準(zhǔn)確、內(nèi)容具體、具有可操作性的職業(yè)能力體系。

3.圍繞知識(shí)、能力、素質(zhì)目標(biāo)設(shè)計(jì)課程體系,進(jìn)行課程配置。在能力體系的基礎(chǔ)上,進(jìn)行能力分解,明確完成工作任務(wù)所需的知識(shí)點(diǎn)、能力點(diǎn)和職業(yè)素質(zhì),以培養(yǎng)技術(shù)應(yīng)用能力和基本素質(zhì)為主線,以實(shí)現(xiàn)知識(shí)、能力、素質(zhì)目標(biāo)為出發(fā)點(diǎn),建立相應(yīng)的課程體系,并進(jìn)行課程配置。

二、應(yīng)用電子技術(shù)專業(yè)人才培養(yǎng)方案設(shè)計(jì)

1.職業(yè)崗位與職業(yè)能力分析,確定培養(yǎng)目標(biāo)。我們通過實(shí)地考察、問卷調(diào)查、電話訪談、網(wǎng)上調(diào)研等多種方式,針對(duì)專業(yè)的就業(yè)需求、職業(yè)面向、就業(yè)崗位任職條件等問題進(jìn)行調(diào)研,明確專業(yè)所面向的職業(yè)崗位群及職業(yè)技術(shù)能力與素質(zhì)要求,確定專業(yè)培養(yǎng)目標(biāo)和能力體系。

根據(jù)以上的分析,最終確定本專業(yè)的培養(yǎng)目標(biāo)定位。應(yīng)用電子技術(shù)專業(yè)畢業(yè)生可在裝備制造業(yè)、電子裝備制造業(yè)配套的電子企業(yè)及電子產(chǎn)品制造類企業(yè)中的電子產(chǎn)品生產(chǎn)、檢修和研發(fā)領(lǐng)域,從事電子產(chǎn)品裝配、檢測(cè)、調(diào)試、工藝管理、產(chǎn)品維修、產(chǎn)品輔助設(shè)計(jì)等工作,可從事的工作崗位有生產(chǎn)線裝配工、產(chǎn)品在線質(zhì)檢員、生產(chǎn)線工藝員、電子產(chǎn)品維修員、PCB板設(shè)計(jì)助理工程師、電路設(shè)計(jì)助理工程師等。簡(jiǎn)而言之,即培養(yǎng)具有良好的職業(yè)道德意識(shí)、精湛的專業(yè)技能和可持續(xù)發(fā)展的學(xué)習(xí)與適應(yīng)能力的德、智、體、美等方面全面發(fā)展的高素質(zhì)技能型專門人才。

2.能力分解,設(shè)計(jì)課程體系。實(shí)際工作中應(yīng)用電子技術(shù)專業(yè)所面向的職業(yè)崗位對(duì)本專業(yè)的高職學(xué)生有一定的層次要求。一般高職學(xué)生在企業(yè)的首崗主要是生產(chǎn)線操作工,經(jīng)過1?3年后約50%的人員會(huì)轉(zhuǎn)崗到管理崗位,如設(shè)備維護(hù)、售后服務(wù)、運(yùn)行管理、質(zhì)量檢測(cè)與監(jiān)督、工藝管理、線段負(fù)責(zé)人等,在小型電子企業(yè)還有10%左右的人員會(huì)轉(zhuǎn)崗從事電子產(chǎn)品開發(fā)與設(shè)計(jì)工作。

因此,我們確定本專業(yè)的首崗為生產(chǎn)一線裝配工。目標(biāo)崗位—是生產(chǎn)線工藝員、質(zhì)檢員、現(xiàn)場(chǎng)管理者、產(chǎn)品售后服務(wù)人員,能從事相應(yīng)技術(shù)應(yīng)用與服務(wù)工作;二是中小型電子企業(yè)的產(chǎn)品設(shè)計(jì)、開發(fā)人員,能自主完成一般小型電子產(chǎn)品、協(xié)助完成中型電子產(chǎn)品設(shè)計(jì)、開發(fā)和技術(shù)推廣,經(jīng)過3?5年的工作實(shí)踐后,可以成為企業(yè)的核心技術(shù)人員。我們對(duì)能力體系進(jìn)行了層次上和內(nèi)容上的分解,確定了知識(shí)、能力和素質(zhì)目標(biāo)。

在此基礎(chǔ)上,我們以能力培養(yǎng)為主線,構(gòu)建以職業(yè)素質(zhì)課程和專業(yè)基礎(chǔ)能力課程為基礎(chǔ),專業(yè)核心能力課程為重點(diǎn),提高專業(yè)拓展能力的理論學(xué)習(xí)與實(shí)踐訓(xùn)練相結(jié)合的課程體系。實(shí)行三階段能力遞進(jìn)式人才培養(yǎng)模式,在課程設(shè)置上注重理論與實(shí)踐課程的融合,在人才培養(yǎng)的初期、中期和后期設(shè)置了電工技能實(shí)訓(xùn)、電子產(chǎn)品制作實(shí)訓(xùn)、電子電路設(shè)計(jì)實(shí)訓(xùn)、電子產(chǎn)品維修實(shí)訓(xùn)課程,分別側(cè)重培養(yǎng)學(xué)生的電子產(chǎn)品裝配、設(shè)計(jì)和維修等專業(yè)核心能力。在實(shí)踐課程中采用任務(wù)驅(qū)動(dòng)教學(xué)法,以真實(shí)電子產(chǎn)品為載體,讓學(xué)生在學(xué)習(xí)過程中完成電子產(chǎn)品的設(shè)計(jì)、裝配、調(diào)試維修全過程,使教學(xué)過程與學(xué)生的工作過程相結(jié)合,通過選取的產(chǎn)品載體逐級(jí)增加難度和復(fù)雜程度,實(shí)現(xiàn)學(xué)生能力的遞進(jìn)培養(yǎng)。

三、關(guān)于人才培養(yǎng)方案設(shè)計(jì)的思考

1.注重課程的優(yōu)化和整合。高職教育的職業(yè)性、針對(duì)性和實(shí)用性要求課程設(shè)置瞄準(zhǔn)職業(yè)崗位群的實(shí)際需要,當(dāng)職業(yè)崗位群的職業(yè)技能要求由于經(jīng)濟(jì)和科技發(fā)展變化而發(fā)生變化時(shí),專業(yè)課程和教學(xué)內(nèi)容也要隨之調(diào)整,這就要求我們要以職業(yè)崗位要求的素質(zhì)、知識(shí)、能力為構(gòu)架,對(duì)原學(xué)科體系課程進(jìn)行改造、整合和優(yōu)化,不斷調(diào)整、充實(shí)新的教學(xué)內(nèi)容。

篇2

關(guān)鍵詞:設(shè)計(jì)性實(shí)驗(yàn)教學(xué);課題設(shè)計(jì);課題評(píng)估

中圖分類號(hào):G652 文獻(xiàn)標(biāo)志碼:A 文章編號(hào):1674-9324(2012)09-0008-03

《數(shù)字電路實(shí)驗(yàn)》是我校電子類專業(yè)的一門專業(yè)必修課。是繼《數(shù)字電路》理論課程后單獨(dú)的一門實(shí)驗(yàn)課程?!稊?shù)字電路實(shí)驗(yàn)》是理論教學(xué)的補(bǔ)充和延續(xù),通過實(shí)驗(yàn)教學(xué)可以鞏固理論知識(shí),培養(yǎng)實(shí)踐能力。同時(shí)數(shù)字電路實(shí)驗(yàn)也是《微機(jī)原理》、《微機(jī)接口技術(shù)》等的前端課程,有著很重要的地位。數(shù)字邏輯電路技術(shù)領(lǐng)域的知識(shí)包含理論和實(shí)踐,這一特點(diǎn)決定了數(shù)字電路實(shí)驗(yàn)教學(xué)必須重視實(shí)踐能力的培養(yǎng)。如何在數(shù)字電路實(shí)驗(yàn)教學(xué)中注重培養(yǎng)學(xué)生的實(shí)踐能力和創(chuàng)新意識(shí),是實(shí)驗(yàn)教學(xué)中的重要課題。我們嘗試把數(shù)字電路實(shí)驗(yàn)分成三部分進(jìn)行:基礎(chǔ)實(shí)驗(yàn);單元電路設(shè)計(jì)實(shí)驗(yàn);綜合性設(shè)計(jì)實(shí)驗(yàn)。第一步分的基礎(chǔ)實(shí)驗(yàn)是和理論課程緊密的結(jié)合,實(shí)驗(yàn)的設(shè)置主要是一些入門級(jí)的基本概念,進(jìn)度跟隨理論課程同步進(jìn)行。第二、第三部分是在第二學(xué)期進(jìn)行。第二部分的單元電路設(shè)計(jì)是為了進(jìn)一步加深對(duì)數(shù)字電路的重要概念的理解和運(yùn)用。從本質(zhì)上講應(yīng)該是屬于驗(yàn)證性的實(shí)驗(yàn)。第三部分是數(shù)字電路綜合設(shè)計(jì),在綜合設(shè)計(jì)的題目中,適當(dāng)?shù)纳婕耙恍﹤鞲衅?,模擬電路等其他課程中的知識(shí),目的是為了提高學(xué)生的設(shè)計(jì)能力。

一、傳統(tǒng)實(shí)驗(yàn)教學(xué)中存在的問題

1.實(shí)驗(yàn)內(nèi)容設(shè)置。在傳統(tǒng)的重視理論教學(xué),輕視實(shí)驗(yàn)教學(xué)的影響下,每一次的實(shí)驗(yàn)內(nèi)容僅僅局限于驗(yàn)證理論課上的結(jié)論。對(duì)于通過實(shí)驗(yàn)加深理解理論知識(shí)的應(yīng)用方面有所欠缺。傳統(tǒng)的數(shù)字電路實(shí)驗(yàn)內(nèi)容中,很少有涉及到模擬電路、傳感器等方面的內(nèi)容的綜合性實(shí)驗(yàn)。傳統(tǒng)驗(yàn)證性不利于培養(yǎng)現(xiàn)時(shí)代所需要的有理論知識(shí)、有動(dòng)手能力、有創(chuàng)新意識(shí)的人才。

2.實(shí)驗(yàn)設(shè)備。傳統(tǒng)的數(shù)字電路實(shí)驗(yàn)設(shè)備實(shí)驗(yàn)教學(xué)的手段相對(duì)落后,實(shí)驗(yàn)內(nèi)容和實(shí)驗(yàn)方式也就受到了限制。實(shí)驗(yàn)一般是在面包板或者是類似于面包板的實(shí)驗(yàn)箱上進(jìn)行。學(xué)生只要對(duì)照書本連線即可完成實(shí)驗(yàn)。造成了部分學(xué)生在不懂實(shí)驗(yàn)原理的情況下,依樣畫葫蘆也同樣完成了實(shí)驗(yàn)。部分學(xué)生在做完實(shí)驗(yàn)后還是知其然,不知其所以然,完全處于被動(dòng)地位。沒有起到實(shí)驗(yàn)教學(xué)應(yīng)該起到的作用。

3.實(shí)驗(yàn)報(bào)告和實(shí)驗(yàn)評(píng)分。合理的成績(jī)?cè)u(píng)定方法是客觀評(píng)價(jià)教學(xué)質(zhì)量的一個(gè)指標(biāo)。傳統(tǒng)的實(shí)驗(yàn)考核成績(jī)主要由實(shí)驗(yàn)報(bào)告和期末考試決定。但由于傳統(tǒng)的實(shí)驗(yàn)內(nèi)容和實(shí)驗(yàn)方式的限制,依靠實(shí)驗(yàn)報(bào)告和期末考試的評(píng)分方式無(wú)法全面評(píng)價(jià)學(xué)生是否掌握了實(shí)驗(yàn)原理、技巧、以及實(shí)驗(yàn)過程中的表現(xiàn),有失公正、全面的評(píng)分原則。

二、設(shè)計(jì)性實(shí)驗(yàn)教學(xué)必要性

驗(yàn)證性實(shí)驗(yàn)是加深對(duì)理論知識(shí)的理解,僅僅是驗(yàn)證性的基礎(chǔ)實(shí)驗(yàn)不利于提高學(xué)生的綜合素質(zhì),不能適應(yīng)后繼課程和當(dāng)前的經(jīng)濟(jì)時(shí)代對(duì)人才培養(yǎng)的迫切需求。設(shè)計(jì)性實(shí)驗(yàn)則要求學(xué)生在掌握牢固的基礎(chǔ)知識(shí)后,運(yùn)用一種或多種方法完成教師給定的實(shí)驗(yàn)。教育部在《普通高等學(xué)校本科教學(xué)工作水平評(píng)估方案》明確指出:“設(shè)計(jì)性實(shí)驗(yàn)是指給定實(shí)驗(yàn)?zāi)康囊蠛蛯?shí)驗(yàn)條件由學(xué)生自行設(shè)計(jì)實(shí)驗(yàn)方案并加以完成的實(shí)驗(yàn)”。設(shè)計(jì)性實(shí)驗(yàn)在實(shí)施的過程中,很明顯的產(chǎn)生了以下幾個(gè)特點(diǎn):

1.發(fā)揮了學(xué)生實(shí)驗(yàn)積極性。在數(shù)字電路的設(shè)計(jì)實(shí)驗(yàn)中,實(shí)驗(yàn)教師只是給定了實(shí)驗(yàn)的課題,考慮到學(xué)生個(gè)體的差異,教師根據(jù)課題的實(shí)際情況,對(duì)部分設(shè)計(jì)性實(shí)驗(yàn)課題給出了原理性框圖。設(shè)計(jì)實(shí)驗(yàn)并不規(guī)定實(shí)現(xiàn)課題的方法和手段,學(xué)生可以在教師的指導(dǎo)下,自主選擇實(shí)現(xiàn)實(shí)驗(yàn)器材、方法和手段。學(xué)生必須自己查閱資料,規(guī)劃實(shí)驗(yàn)過程和方案,設(shè)計(jì)實(shí)驗(yàn)電路,主動(dòng)詢問教師。學(xué)生在實(shí)驗(yàn)的過程中處于主動(dòng)的學(xué)習(xí)狀態(tài)。例如課題:晶體管圖示儀用的三角波鋸齒波發(fā)生器設(shè)計(jì)。該題可以用常規(guī)的模擬電路實(shí)現(xiàn),也可以用數(shù)字器件加上部分模擬器件設(shè)計(jì)出性能更穩(wěn)定的電路。學(xué)生在接受設(shè)計(jì)課題后,首先是要查找資料,了解圖示儀工作原理,查找三角波鋸齒波發(fā)生器各種設(shè)計(jì)方法。充分調(diào)動(dòng)了學(xué)生實(shí)驗(yàn)的積極性。

2.在實(shí)驗(yàn)方法上的多樣性。設(shè)計(jì)性實(shí)驗(yàn)的課題是明確的,但是并不規(guī)定實(shí)現(xiàn)課題的方法。不同的學(xué)生有不同的思維方式。當(dāng)多個(gè)實(shí)驗(yàn)小組在選擇了同一個(gè)實(shí)驗(yàn)課題時(shí),各個(gè)實(shí)驗(yàn)小組會(huì)有不同的實(shí)驗(yàn)方法。在設(shè)計(jì)性實(shí)驗(yàn)的過程中,充分的發(fā)揮了人的創(chuàng)造性思維,也就是最大限度地調(diào)動(dòng)了積極性。例如:在實(shí)現(xiàn)圖示儀中用的鋸齒波三角波發(fā)生器電路設(shè)計(jì)時(shí),可以采用555電路作為CLK信號(hào),對(duì)數(shù)字電路的計(jì)數(shù)器進(jìn)行計(jì)數(shù),通過運(yùn)放產(chǎn)生鋸齒波和三角波的設(shè)計(jì)方案。但是也有同學(xué)用555電路作為振蕩器,使用三極管對(duì)555波形輸出進(jìn)行積分,從而直接產(chǎn)生三角波鋸齒波的實(shí)驗(yàn)方案。

篇3

數(shù)字集成電路低功耗優(yōu)化設(shè)計(jì)

隨著科技的不斷發(fā)展和進(jìn)步,在集成電路領(lǐng)域當(dāng)中,數(shù)字集成電路的增長(zhǎng)速度飛快,在各種新技術(shù)的應(yīng)用之下,集成電路系統(tǒng)的集成度和復(fù)雜度也有了很大的提升。對(duì)著移動(dòng)設(shè)備、便攜設(shè)備的廣泛應(yīng)用,使得數(shù)字集成電路面臨著越來(lái)越嚴(yán)峻的功耗問題。因此,在數(shù)字集成電路的未來(lái)發(fā)展當(dāng)中,低功耗優(yōu)化設(shè)計(jì)已經(jīng)成為一個(gè)主要的發(fā)展趨勢(shì),在數(shù)字集成電路的工藝制造、電路設(shè)計(jì)等方面,都發(fā)揮著巨大的作用。

一、低功耗優(yōu)化設(shè)計(jì)的方法和技術(shù)

對(duì)于可移動(dòng)、便攜式的數(shù)字系統(tǒng)來(lái)說,功耗具有很大的作用。因此在設(shè)計(jì)數(shù)字電路的時(shí)候,應(yīng)當(dāng)分析其功耗問題。在設(shè)計(jì)數(shù)字集成電路的過程中,要對(duì)功耗、面積、性能等加以考慮。而在這些方面,存在著相互關(guān)聯(lián)和約束的關(guān)系。因此,在對(duì)數(shù)字電路性能加以滿足的前提下,對(duì)設(shè)計(jì)方案和技術(shù)進(jìn)行選擇,從而實(shí)現(xiàn)低功耗優(yōu)化設(shè)計(jì)。具體來(lái)說,應(yīng)當(dāng)平衡性能、面積、功耗方面的關(guān)系,防止發(fā)生浪費(fèi)的情況。對(duì)專用集成電路進(jìn)行高效應(yīng)用,對(duì)結(jié)構(gòu)和算法進(jìn)行優(yōu)化,同時(shí)對(duì)工藝和器件進(jìn)行改進(jìn)。

二、數(shù)字集成電路的低功耗優(yōu)化設(shè)計(jì)

1、門級(jí)

在數(shù)字集成電路的低功耗優(yōu)化設(shè)計(jì)中,門級(jí)低功耗優(yōu)化設(shè)計(jì)技術(shù)具有較為重要的作用,其中包含著很多不同的技術(shù),例如路徑平衡、時(shí)許調(diào)整、管腳置換、們尺寸優(yōu)化、公因子提取、單元映射等。其中,單元映射是在設(shè)計(jì)電路中,在邏輯單元、門級(jí)網(wǎng)表之間,進(jìn)行合理的布局布線。公因子提取法能夠?qū)壿嬌疃冗M(jìn)行降低、對(duì)電路翻轉(zhuǎn)進(jìn)行減小、對(duì)邏輯網(wǎng)絡(luò)進(jìn)行簡(jiǎn)化從而降低功耗。路徑平衡則是針對(duì)不同路徑的延遲時(shí)間,對(duì)其進(jìn)行改變,從而降低功耗。

2、系統(tǒng)級(jí)

系統(tǒng)級(jí)低功耗優(yōu)化設(shè)計(jì)當(dāng)中,主要包括了軟硬件劃分、功耗管理、指令優(yōu)化等技術(shù)。其中,軟硬件劃分主要是對(duì)硬件和軟件在抽象描述的監(jiān)督,對(duì)其電路邏輯功能加以實(shí)現(xiàn),通過對(duì)方案的綜合對(duì)比,選擇低功耗優(yōu)化設(shè)計(jì)方案。功耗管理是針對(duì)電路設(shè)計(jì)不同的工作模式,將空閑模塊掛起,從而降低功耗。而指令優(yōu)化則包含指令壓縮、指令編碼優(yōu)化、指令集提取等,通過對(duì)讀取速度、密度的提升,使功耗得到降低。

3、版圖級(jí)

在版圖級(jí)低功耗優(yōu)化設(shè)計(jì)中,需要對(duì)互聯(lián)、器件等同時(shí)進(jìn)行優(yōu)化,對(duì)著集成電路工藝的發(fā)展,器件尺寸的減小,功耗也就自然降低。同時(shí)由于具有更快的開關(guān)速度,因此可以根基不同情況,在電路設(shè)計(jì)中選擇合適的器件進(jìn)行優(yōu)化。而對(duì)于系統(tǒng)來(lái)說,互聯(lián)作為連接器件的導(dǎo)線,對(duì)于系統(tǒng)性能也有著很大的影響。在信號(hào)布線的過程中,可以增加關(guān)鍵、時(shí)鐘、地、電源等信號(hào)以及高活動(dòng)性信號(hào)的橫截面,從而降低功耗和延時(shí)。

4、算法級(jí)

在算法級(jí)低功耗優(yōu)化設(shè)計(jì)當(dāng)中,需要對(duì)速度、面積、功耗等約束條件加以考慮,從而對(duì)電路體系編碼、結(jié)構(gòu)等進(jìn)行優(yōu)化。在通常情況下,為了提升電路質(zhì)量、降低電路功耗,會(huì)采用提高速度、增加面積等方法來(lái)實(shí)現(xiàn)。算法級(jí)低功耗優(yōu)化設(shè)計(jì)與門級(jí)、寄存器傳輸級(jí)不同,這兩者都是對(duì)電路的基本結(jié)構(gòu)首先進(jìn)行確定,然后對(duì)電路結(jié)構(gòu)再進(jìn)行低功耗優(yōu)化調(diào)整。在算法級(jí)低功耗優(yōu)化設(shè)計(jì)當(dāng)中,主要包括并行結(jié)構(gòu)、流水線、總線編碼、預(yù)計(jì)算等技術(shù)。

5、電路級(jí)

在電路級(jí)低功耗優(yōu)化設(shè)計(jì)中,NMOS管陣列構(gòu)成的PDN完成了邏輯功能,其中只需要少量額晶體管,具有較快的開關(guān)速度,同時(shí)由于具有較低的負(fù)載電容,不存在短路電流。在電源與第之間,沒有電流通路,因此不會(huì)產(chǎn)生靜態(tài)功耗,對(duì)于總體功耗的降低有著很大的幫助。同時(shí),在應(yīng)用的異步電路當(dāng)中,在穩(wěn)定狀態(tài)時(shí),輸入信號(hào)才會(huì)翻轉(zhuǎn),從而避免了輸入信號(hào)之間的競(jìng)爭(zhēng)冒險(xiǎn),也避免了功耗浪費(fèi)。

6、工藝級(jí)

在工藝級(jí)低功耗優(yōu)化設(shè)計(jì)中,主要包括按比例縮小、封裝等技術(shù)。隨著技術(shù)的發(fā)展,系統(tǒng)擁有了更高的集成度,器件尺寸得以減小、電容得以降低,在芯片之間,通信量也有所下降,因此功耗也能夠得到有效的控制。其中主要包括了互連線、晶體管的按比例縮小。芯片應(yīng)當(dāng)進(jìn)行封裝,充分與外界相隔離,從而避免外界雜質(zhì)造成腐蝕,降低其電氣性能。而在封裝過程中,對(duì)于芯片功耗有著很大的影響。通過合理的進(jìn)行封裝,能夠更好的進(jìn)行散熱,從而是功耗得到降低。

7、寄存器傳輸級(jí)

在設(shè)計(jì)數(shù)字集成電路的過程中,寄存器傳輸級(jí)是一種同步數(shù)字電路的抽象模型,根據(jù)存儲(chǔ)器、寄存器、總線、組合邏輯裝置等邏輯單元之間數(shù)字信號(hào)的流動(dòng)所建立的。在當(dāng)前的數(shù)字設(shè)計(jì)中,工作流程是寄存器傳輸級(jí)上的主要設(shè)計(jì),根據(jù)寄存器傳輸級(jí)的描述,邏輯綜合工具對(duì)低級(jí)別的電路描述進(jìn)行構(gòu)建。在寄存器傳輸級(jí)的低功耗優(yōu)化設(shè)計(jì)當(dāng)中,主要包括了門控時(shí)鐘、存儲(chǔ)器分塊訪問、操作數(shù)隔離、操作數(shù)變形、寄存器傳輸級(jí)代碼優(yōu)化等方法。

隨著科技的不斷發(fā)展,在當(dāng)前社會(huì)中,越來(lái)越多的移動(dòng)設(shè)備和便攜設(shè)備出現(xiàn)在人們的生活中,因此,數(shù)字集成電路也正在得到更加廣泛的應(yīng)用。而在電路設(shè)計(jì)當(dāng)中,功耗問題始終是一個(gè)較為重點(diǎn)的問題,因此,應(yīng)當(dāng)對(duì)數(shù)字集成電路進(jìn)行低功耗優(yōu)化設(shè)計(jì),從而降低電路功耗,提升電路效率。

參考文獻(xiàn):

[1]桑紅石,張志,袁雅婧,陳鵬.數(shù)字集成電路物理設(shè)計(jì)階段的低功耗技術(shù).微電子學(xué)與計(jì)算機(jī),2011(04).

[2]鄧芳明,何怡剛,張朝龍,馮偉,吳可汗.低功耗全數(shù)字電容式傳感器接口電路設(shè)計(jì).儀器儀表學(xué)報(bào),2014(05).

篇4

關(guān)鍵詞:FPGA;按鍵抖動(dòng);數(shù)字電路

中圖分類號(hào):TN912 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1009-2374(2013)21-0031-02

我們?cè)谶M(jìn)行數(shù)字電路的設(shè)計(jì)的過程中,經(jīng)常會(huì)碰到信號(hào)抖動(dòng)相關(guān)的問題。抖動(dòng)信號(hào)大致分為兩類:一類是時(shí)間極短的干擾信號(hào)(比如毛刺);另一類是時(shí)間較長(zhǎng)的干擾信號(hào)(如本文所研究的按鍵抖動(dòng)問題)。對(duì)于第一類干擾信號(hào)——毛刺,它的時(shí)間極短,通常只有幾納秒,不能滿足觸發(fā)器的建立時(shí)間與保持時(shí)間,因此我們可以用一個(gè)D觸發(fā)器去讀帶毛刺的信號(hào),由于D觸發(fā)器具有對(duì)毛刺不敏感的特點(diǎn),可以去除信號(hào)中的毛刺,達(dá)到消除抖動(dòng)的效果(消除毛刺的方法很多,在這里不做討論,本文重點(diǎn)說明按鍵抖動(dòng)的消除及其代碼設(shè)計(jì))。

在數(shù)字電路設(shè)計(jì)中,按鍵通常為一個(gè)個(gè)具有彈性的機(jī)械觸點(diǎn)開關(guān),其開啟或者閉合的瞬間都會(huì)產(chǎn)生按鍵抖動(dòng),實(shí)際波形如圖1所示:

由圖1可知,在按鍵閉合和斷開的瞬間,我們往往只需要一組穩(wěn)定的上升邊沿和下降邊沿,但實(shí)際上卻產(chǎn)生了若干個(gè)邊沿。所以,在實(shí)際電路中,我們僅僅按動(dòng)按鍵,簡(jiǎn)單地讀取信號(hào)的邊沿,卻不濾除抖動(dòng),會(huì)導(dǎo)致一次按鍵操作被誤讀多次,從而引起電路的誤動(dòng)作。為了達(dá)到一次按鍵操作得到一次正確的響應(yīng)的目的(在按鍵閉合或者斷開),信號(hào)穩(wěn)定的狀態(tài)下讀取此時(shí)按鍵的狀態(tài),就必須在電路中采取消除抖動(dòng)的措施。在純數(shù)字電路設(shè)計(jì)中,下面介紹幾種消除按鍵抖動(dòng)的常用實(shí)現(xiàn)方法。

1 設(shè)計(jì)硬件消抖電路(硬件方法)

設(shè)計(jì)一個(gè)具有延時(shí)作用的濾波電路或者經(jīng)常用到的單穩(wěn)態(tài)電路等硬件電路,來(lái)消除按鍵的抖動(dòng)(避免抖動(dòng)時(shí)間)。圖2所示的電路,即為典型的濾波延時(shí)消抖電路,S為按鍵, Di為CPU數(shù)據(jù)線。當(dāng)按鍵S未閉合時(shí),與非門的輸入電壓Vi(即電容的端電壓)為0,從而輸出電壓Vo為1。我們按下按鍵S,電容C的端電壓不會(huì)發(fā)生突變,充電延遲時(shí)間取決于R1、R2和C值的大小,當(dāng)電容端電壓在充電時(shí)間內(nèi)未達(dá)到與非門的開啟電壓,將不會(huì)改變門的輸出電壓;當(dāng)電容端電壓大于門的開啟電壓時(shí),與非門的輸出Vo發(fā)生改變,即輸出為0(我們?cè)跀?shù)字電路的設(shè)計(jì)過程中,為了避開按鍵抖動(dòng)的影響,需要使充電延時(shí)大于或等于100ms)。

同理,按鍵S斷開時(shí)的情形,由讀者自己來(lái)進(jìn)行分析,此處不再贅述。

圖2中,V1是未消抖的波形,V2是消抖之后的波形。

2 軟件方法實(shí)現(xiàn)消抖

(1)計(jì)數(shù)器消抖的實(shí)現(xiàn)。計(jì)數(shù)器實(shí)現(xiàn)消除抖動(dòng),采用的是延時(shí)方法,其設(shè)計(jì)的核心是如何正確設(shè)定計(jì)數(shù)的時(shí)鐘脈沖(即如何正確設(shè)計(jì)計(jì)數(shù)器的模值和計(jì)數(shù)時(shí)鐘的頻率,因?yàn)樗鼈児餐瑳Q定了延時(shí)的時(shí)間)。

(2)基于RS觸發(fā)器的消抖設(shè)計(jì)。在實(shí)際設(shè)計(jì)中用時(shí)鐘信號(hào)對(duì)按鍵輸入信號(hào)進(jìn)行采樣。此方法消除抖動(dòng)的關(guān)鍵在于確定合適的采樣時(shí)鐘頻率,使得采樣間隔時(shí)間適中,處在按鍵抖動(dòng)時(shí)間和按鍵正常穩(wěn)定閉合時(shí)間的范圍之內(nèi)。

3 按鍵消抖的程序?qū)嵗治?/p>

程序設(shè)計(jì)的基本思路是:(1)檢測(cè)管腳電平是否拉低;(2)若檢測(cè)到低電平,啟動(dòng)計(jì)數(shù)器,延時(shí)20ms左右的時(shí)間;(3)再次檢測(cè)管腳是否低電平;(4)若還是低電平,確定按鍵被按下,輸出控制信號(hào)。

程序?qū)嵗?/p>

input clk; //主時(shí)鐘信號(hào),50MHz

input rst_n; //復(fù)位信號(hào),低有效

input key1; //按鍵1

reg reg0_key;

reg reg1_key;

always @(posedge clk or negedge rst_n) begin

if(!rst_n) begin

reg0_key

reg1_key

end

else begin

reg0_key

reg1_key

end

end

wire key_an;

assign key_an = reg1_key & ( ~reg0_key);

reg[19:0] cnt_key; //計(jì)數(shù)寄存器

always @ (posedge clk or negedge rst_n) begin

if (!rst_n) cnt_key

else if(key_an) cnt_key

else cnt_key

end

reg reg_low;

reg reg1_low;

always @(posedge clk or negedge rst_n) begin

if (!rst_n) begin

reg_low

end

else if(cnt_key == 20'hfffff) begin

reg_low

end

分析:reg0_key,reg1_key最先的初始值都是1'b1。當(dāng)?shù)谝粋€(gè)時(shí)鐘的上升沿(posedge clk)來(lái)臨,非阻塞賦值開始,先是計(jì)算

4 結(jié)語(yǔ)

本文介紹了基于FPGA的幾種簡(jiǎn)單的按鍵抖動(dòng)的消除方法以及對(duì)典型代碼實(shí)例進(jìn)行了簡(jiǎn)要的分析。帶有按鍵抖動(dòng)的輸入信號(hào),如何消除其抖動(dòng),得到只占有一個(gè)時(shí)鐘周期的輸出信號(hào),對(duì)于正確發(fā)揮數(shù)字電路的功能,具有重大的現(xiàn)實(shí)意義,這也是值得我們研究的問題。在現(xiàn)有方法的基礎(chǔ)上,優(yōu)化設(shè)計(jì),獲得更高性能和更高效率的設(shè)計(jì)方案,仍然是值得我們積極的探索的研究課題之一。

參考文獻(xiàn)

[1] 許德成.基于FPGA的按鍵消抖動(dòng)設(shè)計(jì)[D].吉林師范大學(xué),2009.

篇5

中圖分類號(hào):G64 文獻(xiàn)標(biāo)識(shí)碼:A

關(guān)鍵詞:數(shù)字電路;課程建設(shè);EDA;新體系

文章編號(hào):1672-5913(2007)16-0035-03

1引言

電子技術(shù)的發(fā)展使與之對(duì)應(yīng)的基礎(chǔ)課程的教學(xué)內(nèi)容也不斷地發(fā)生變化。教學(xué)應(yīng)領(lǐng)先于應(yīng)用,而不能落后于應(yīng)用,這是我們教育研究的動(dòng)力。數(shù)字電路的教學(xué)內(nèi)容的改革,也同樣伴隨著當(dāng)今的電子科學(xué)和電子工業(yè)發(fā)展而發(fā)展。課程的內(nèi)容體系,研究的范圍與方法,學(xué)科的內(nèi)在理論體系與應(yīng)用型人才培養(yǎng)的大眾化教育要求等,都大大促進(jìn)了課程的體系和內(nèi)容的改革。但就目前的數(shù)字電路這樣一門專業(yè)基礎(chǔ)課課程內(nèi)容的設(shè)置問題,如何構(gòu)建出適合我國(guó)國(guó)情和與當(dāng)今科學(xué)技術(shù)對(duì)應(yīng)的數(shù)字電路課程體系,仍要不斷探索。本文通過對(duì)這門專業(yè)基礎(chǔ)課的發(fā)展過程的回顧,分析近年來(lái)眾多的教學(xué)改革的現(xiàn)狀,就合理設(shè)置數(shù)字電路課程內(nèi)容進(jìn)行了一些探討。

2發(fā)展與現(xiàn)狀

數(shù)字電路的發(fā)展是從開關(guān)邏輯電路開始的,人們?cè)趶S家控制電路中的繼電器和開關(guān)構(gòu)成的電路與信號(hào),創(chuàng)建了開關(guān)電路理論與脈沖技術(shù)。在20世紀(jì)60年代這一門技術(shù)由于電子元件替代了機(jī)械開關(guān)元件,使之逐漸成為電子技術(shù)的基本內(nèi)容,得到研究和發(fā)展。隨著半導(dǎo)體元件的快速發(fā)展,電子技術(shù)把研究信號(hào)的連續(xù)性和離散性的研究理論體系和研究方法進(jìn)行了分類,形成了以數(shù)字邏輯代數(shù)和離散數(shù)學(xué)為基本理論的數(shù)字電路。最早期以晶體管脈沖技術(shù)為核心的數(shù)字電路課程,研究的方法與模擬電路的晶體管電路研究方法基本相同。

隨著數(shù)字技術(shù)主要研究邏輯和算術(shù)運(yùn)算、時(shí)間控制和計(jì)時(shí)等方面的應(yīng)用,集成電路技術(shù)在數(shù)字邏輯電路的應(yīng)用,出現(xiàn)了中小規(guī)模的數(shù)字邏輯電路標(biāo)準(zhǔn)器件。這時(shí)的數(shù)字電路研究的基本理論并沒有新的發(fā)展,但研究的方法則是以標(biāo)準(zhǔn)邏輯電路的選用為基礎(chǔ)的數(shù)字電路的分析與設(shè)計(jì)方法。

由于計(jì)算機(jī)應(yīng)用于電子線路的輔助設(shè)計(jì),超大規(guī)模的數(shù)字集成元件的分析與設(shè)計(jì)方法,成為新的數(shù)字電路的研究方向。EDA的工具軟件與PLD元件的結(jié)合,使得數(shù)字電路的研究方法發(fā)生了新的變化。那種以中規(guī)模標(biāo)準(zhǔn)邏輯電路為基本單元的,自下而上的數(shù)字電路系統(tǒng)的研究與分析設(shè)計(jì)方法,已不適應(yīng)當(dāng)前的數(shù)字系統(tǒng)的集成電路設(shè)計(jì)要求。利用HDL語(yǔ)言為基礎(chǔ)的自上而下的數(shù)字電路系統(tǒng)設(shè)計(jì)方法得到各種EDA軟件的支持,使得數(shù)字電路研究方法出現(xiàn)了新的飛躍。

大量的學(xué)者與教育工作者認(rèn)識(shí)到,以HDL為描述語(yǔ)言的數(shù)字電路設(shè)計(jì)方法將成為現(xiàn)代數(shù)字電路研究的發(fā)展方向。與之對(duì)應(yīng)的研究成果把數(shù)字電路分為二層進(jìn)行教學(xué),數(shù)字電路基礎(chǔ)和數(shù)字電路系統(tǒng)設(shè)計(jì)自動(dòng)化。作為基礎(chǔ)課,是為了深入學(xué)習(xí)后續(xù)課程來(lái)準(zhǔn)備基本的理論知識(shí)和基本的研究與設(shè)計(jì)方法等技術(shù)基礎(chǔ)。原有的自下而上的數(shù)字電路的課程中,增加了HDL語(yǔ)言的電路描述部分內(nèi)容,保持原有的課程體系不變。隨著EDA技術(shù)在數(shù)字電路的研究、分析和設(shè)計(jì)上的應(yīng)用,數(shù)字電路系統(tǒng)設(shè)計(jì)自動(dòng)化成為第二層面的教學(xué)內(nèi)容。之所以稱之為第二層面,對(duì)于數(shù)字電路而言,研究?jī)?nèi)容大致一樣,研究方法上不在同一層面之上。一個(gè)是以邏輯單元為基本研究對(duì)象,對(duì)數(shù)字電路系統(tǒng)設(shè)計(jì)是自下而上的,另一個(gè)是直接以系統(tǒng)為對(duì)象,用HDL語(yǔ)言描述,在EDA軟件平臺(tái)上,自上而下的逐步綜合實(shí)現(xiàn)的。

3新體系的設(shè)想

把EDA作為數(shù)字電路的主體分析設(shè)計(jì)工具。在教學(xué)內(nèi)容上確立其中心位置。以單元電路學(xué)習(xí)形成的基本概念為基礎(chǔ),以自上而下的電路系統(tǒng)設(shè)計(jì)方案為思路,以HDL語(yǔ)言為描述方法,構(gòu)建的教學(xué)內(nèi)容新體系。

改革原有的以邏輯代數(shù)為基礎(chǔ)的思路,把邏輯代數(shù)與HDL語(yǔ)言并行為基礎(chǔ)。改革由單元電路開始自下而上的知識(shí)構(gòu)建思路,變?yōu)橐隕DA軟件的工具學(xué)習(xí)為開始的自上而下的知識(shí)構(gòu)建思路。

4新體系建立的基本思路

數(shù)字電子技術(shù)在數(shù)字集成電路集成度越來(lái)越高的情況下,開發(fā)數(shù)字系統(tǒng)的實(shí)用方法和用來(lái)實(shí)現(xiàn)這些方法的工具已經(jīng)發(fā)生了變化。特別是可編程邏輯器件的大量應(yīng)用,使原來(lái)中小規(guī)模的標(biāo)準(zhǔn)器件在應(yīng)用系統(tǒng)的設(shè)計(jì)中應(yīng)用減少。

盡管傳統(tǒng)的基本單元電路對(duì)于理解數(shù)字系統(tǒng)基本構(gòu)成模塊的工作原理具有重要意義,但是必須認(rèn)識(shí)到電子技術(shù)的新進(jìn)展使系統(tǒng)和數(shù)字邏輯電路的工作過程出現(xiàn)了新的描述方法。未來(lái)的數(shù)字系統(tǒng)設(shè)計(jì),對(duì)描述方法的理解可能比具體的硬件結(jié)構(gòu)更加重要。

從數(shù)字電路課程的性質(zhì),專業(yè)基礎(chǔ)課看。如果這個(gè)基礎(chǔ)工業(yè)的應(yīng)用范圍變了,中規(guī)模的標(biāo)準(zhǔn)邏輯器件相對(duì)應(yīng)的研究方法,占實(shí)際應(yīng)用的比例少到一定程度,而取而代之的現(xiàn)代電子技術(shù)的研究方法應(yīng)該定位成課程的基礎(chǔ)。

從舊體系中的課程目的是針對(duì)從晶體管電路發(fā)展而來(lái)的研究方法與學(xué)習(xí)內(nèi)容,在中規(guī)模電路中進(jìn)行了改革與發(fā)展。引進(jìn)HDL語(yǔ)言的描述,使研究的層面從單元電路發(fā)展為系統(tǒng)級(jí)的層次。

舊的數(shù)字系統(tǒng)是在手工設(shè)計(jì)流程,一般都是先按電子系統(tǒng)的具體功能要求進(jìn)行功能劃分,然后對(duì)每個(gè)電路模塊畫出真值表,用卡諾圖進(jìn)行手工邏輯化簡(jiǎn),寫出邏輯表達(dá)式,得到相應(yīng)的邏輯線路圖。再進(jìn)行單元器件的選擇,設(shè)計(jì)電路板,最后進(jìn)行實(shí)測(cè)與調(diào)試。而復(fù)雜電路的設(shè)計(jì)、調(diào)試十分困難,無(wú)法仿真在設(shè)計(jì)中存在問題,查找和修改十分不便,只有在設(shè)計(jì)出樣機(jī)后才能進(jìn)行實(shí)測(cè),設(shè)計(jì)周期長(zhǎng)。

新的數(shù)字系統(tǒng)是在EDA中使用HDL對(duì)數(shù)字系統(tǒng)進(jìn)行抽象的行為與功能描述,到具體的內(nèi)部線路結(jié)構(gòu)描述,從而使設(shè)計(jì)的各個(gè)階段,各個(gè)層次在EDA軟件環(huán)境中模擬驗(yàn)證,保證其正確性,周期短。由于邏輯設(shè)計(jì)仿真測(cè)試技術(shù)是EDA的突出功能,形成的現(xiàn)代電子設(shè)計(jì)技術(shù)的重要特征。適應(yīng)了大規(guī)模的系統(tǒng)級(jí)電子設(shè)計(jì)的自動(dòng)化程度。

對(duì)于知識(shí)構(gòu)建的認(rèn)識(shí)。可以從實(shí)際的需求,即專業(yè)人才應(yīng)具備的素質(zhì)和能力。在構(gòu)成這樣的素質(zhì)和能力的知識(shí)體系,確定應(yīng)具有理論的系統(tǒng)性和完整性去構(gòu)建課程的內(nèi)容。

從大眾教育與精英教育關(guān)系上看,原有的教材所形成的課程內(nèi)容,是以理論研究為目的的課程體系。不適應(yīng)現(xiàn)在的學(xué)習(xí)群體的實(shí)際應(yīng)用能力培養(yǎng)的需要,所以課程的內(nèi)容要從研究型的專門人才,向應(yīng)用型的技術(shù)人才相適應(yīng)。其內(nèi)容處理的方向是注重民應(yīng)用為目的的“必須”與“夠用”為度。

因?yàn)樾碌恼n程內(nèi)容所提出的結(jié)構(gòu)體系,更加符合大眾教育的特點(diǎn),和人們認(rèn)識(shí)規(guī)律,將大大降低學(xué)習(xí)難度。

傳統(tǒng)的數(shù)字電路教學(xué)內(nèi)容中的課堂與實(shí)踐的關(guān)系。是以課堂教學(xué)內(nèi)容為主,實(shí)驗(yàn)只是為了驗(yàn)證課堂教學(xué),而采用EDA為中心的數(shù)字電路教學(xué)內(nèi)容的課堂與實(shí)踐是同步進(jìn)行了。因?yàn)檫@種教學(xué)與實(shí)驗(yàn)是一個(gè)整體的EDA軟件,課堂的演示就可以解決驗(yàn)證的問題,學(xué)生在EDA軟件的環(huán)境之下,可能隨時(shí)隨地在計(jì)算機(jī)上進(jìn)行實(shí)驗(yàn)研究。

篇6

【關(guān)鍵詞】FPGA Quartus II EDA 計(jì)數(shù)器

隨著全球經(jīng)濟(jì)的高速發(fā)展、科學(xué)技術(shù)的不斷創(chuàng)新,電子設(shè)計(jì)自動(dòng)化EDA(系Electronic Design Automation的縮寫)技術(shù),在電子信息工程領(lǐng)域成為了當(dāng)今世界上最先進(jìn)的電子電路設(shè)計(jì)技術(shù)。它依靠功能強(qiáng)大的電子計(jì)算機(jī),在EDA工具軟件平臺(tái)上,對(duì)以硬件描述語(yǔ)言HDL(系Hardware Description Language的縮寫)為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、仿真,直至下載到可編程邏輯器件如現(xiàn)場(chǎng)可編程門陣列FPGA或復(fù)雜的可編程邏輯器件CPLD(系Complex Programmable Logic Device的縮寫)或?qū)S眉呻娐稟SIC(系A(chǔ)pplication Specific Integrated Circuit的縮寫)芯片中,從而實(shí)現(xiàn)既定電子電路的功能系統(tǒng)設(shè)計(jì)。因此,在電子工程應(yīng)用領(lǐng)域,用EDA技術(shù)來(lái)完成電子系統(tǒng)的設(shè)計(jì),已成為現(xiàn)代電子設(shè)計(jì)技術(shù)的核心。

1 設(shè)計(jì)方案

本文提出的系統(tǒng)設(shè)計(jì)方案采用自頂向下的設(shè)計(jì)方法,從系統(tǒng)設(shè)計(jì)入手,在頂層對(duì)計(jì)時(shí)器整體電路系統(tǒng)進(jìn)行功能方框圖的劃分和結(jié)構(gòu)設(shè)計(jì),在方框圖一級(jí)進(jìn)行仿真、糾錯(cuò),用硬件描述語(yǔ)言(HDL)對(duì)高層次的系統(tǒng)行為進(jìn)行描述并于功能一級(jí)進(jìn)行驗(yàn)證;系統(tǒng)電路的設(shè)計(jì)依托于FPGA硬件平臺(tái),采用超高速集成電路硬件描述語(yǔ)言―VHDL(系Very High Speed Integrated Circuit Hardware Description Language的縮寫),設(shè)計(jì)在Quartus II開發(fā)環(huán)境下進(jìn)行;設(shè)計(jì)出的計(jì)時(shí)器計(jì)時(shí)范圍為00.00--59.00秒,精度為0.01秒,具有秒加和秒減計(jì)時(shí)、清零、計(jì)時(shí)―停止―繼續(xù)計(jì)時(shí)等功能,對(duì)百分之秒和秒的計(jì)數(shù)信息采用四位LED數(shù)碼管進(jìn)行友好界面顯示。系統(tǒng)設(shè)計(jì)框圖如圖1所示。

2 核心模塊設(shè)計(jì)

2.1 輸入配置模塊設(shè)計(jì)

依據(jù)加減計(jì)時(shí)器設(shè)計(jì)系統(tǒng)框圖圖1所示,輸入配置模塊包括反向器邏輯門、與邏輯門兩部分?;谠O(shè)計(jì)功能需求,反向器邏輯門、與邏輯門的VHDL描述設(shè)計(jì)如圖2所示。

2.2 加/減計(jì)數(shù)模塊設(shè)計(jì)

加/減計(jì)數(shù)模塊包括0~59秒秒加/秒減減計(jì)數(shù)器、精度0.01秒秒加/秒減計(jì)數(shù)器。該模塊的主要功能是:根據(jù)模塊的輸入控制信號(hào),來(lái)實(shí)現(xiàn)計(jì)時(shí)器的計(jì)數(shù)加或計(jì)數(shù)減的操作。如系統(tǒng)框圖圖1所示,在輸入端口信號(hào)的控制下,精度0.01秒秒加/秒減計(jì)數(shù)器的進(jìn)(借)位輸出信號(hào),作為后續(xù)0~59秒秒加/秒減計(jì)數(shù)器clk端口的輸入信號(hào),從而實(shí)現(xiàn)計(jì)時(shí)器的加/減計(jì)數(shù)工作。設(shè)計(jì)中,0~59秒秒加/秒減計(jì)數(shù)器為六十進(jìn)制加/減計(jì)數(shù)器,其用VHDL描述設(shè)計(jì)如圖3所示。

精度0.01秒秒加/秒減計(jì)數(shù)器的設(shè)計(jì)為百進(jìn)制加/減計(jì)數(shù)器,其用VHDL的描述設(shè)計(jì)思路類似于六十進(jìn)制的加/減計(jì)數(shù)器,此處不再?gòu)?fù)述。

2.3 掃描譯碼顯示模塊設(shè)計(jì)

本模塊為加減計(jì)時(shí)器系統(tǒng)設(shè)計(jì)的輸出模塊,其功能在于對(duì)前級(jí)模塊的計(jì)數(shù)信號(hào)進(jìn)行動(dòng)態(tài)掃描、譯碼,并進(jìn)行數(shù)字信息顯示。包括動(dòng)態(tài)掃描電路、譯碼電路、LED顯示器。動(dòng)態(tài)掃描器電路VHDL描述設(shè)計(jì)如圖4所示,譯碼電路VHDL描述設(shè)計(jì)如圖5所示。另外,LED顯示器采用的是四個(gè)共陰極的數(shù)碼管來(lái)實(shí)現(xiàn)數(shù)字信息的友好顯示。

3 系統(tǒng)驗(yàn)證及測(cè)試

3.1 系統(tǒng)波形仿真驗(yàn)證

在Quartus II開發(fā)平臺(tái)下,逐一完成各模塊設(shè)計(jì),并將各模塊依次按照設(shè)計(jì)系統(tǒng)框圖構(gòu)建起來(lái),得到整個(gè)計(jì)時(shí)器的系統(tǒng)設(shè)計(jì)電路。按照設(shè)計(jì)系統(tǒng)功能要求,完成波形正確仿真驗(yàn)證如下:

(a)秒加計(jì)時(shí)波形仿真驗(yàn)證:

Input :Clk=clk_1hz=100hz ,fuwei=1,s=1,clr=0,k=0,L=1 Output:Mm,sc,LEDN,WX(見圖6)

(b)秒減計(jì)時(shí)波形仿真驗(yàn)證

Input :Clk=clk_1hz=100hz ,fuwei=1,s=1,clr=0,k=0,L=0 Output:Mm,sc,LEDN,WX(見圖7)

3.2 FPGA硬件平臺(tái)測(cè)試

通過Quartus II開發(fā)平臺(tái),將編程設(shè)計(jì)文件下載到型號(hào)為EP1C12Q240C8(Altera公司Cyclone系列)的目標(biāo)芯片上,用達(dá)盛科技有限公司的FPGA硬件實(shí)驗(yàn)箱EDA-V+平臺(tái)進(jìn)行正確測(cè)試圖片如8。

4 結(jié)語(yǔ)

整個(gè)加減計(jì)時(shí)器系統(tǒng)的設(shè)計(jì)過程,采用自頂向下的設(shè)計(jì)思路。首先,確定系統(tǒng)構(gòu)架框圖,根據(jù)各模塊功能,依次進(jìn)行VHDL程序設(shè)計(jì)。然后,采用原理圖輸入法,將各模塊的設(shè)計(jì)元件連接起來(lái),從而實(shí)現(xiàn)加減計(jì)時(shí)器系統(tǒng)電路的多層次設(shè)計(jì)。最后,對(duì)設(shè)計(jì)系統(tǒng)進(jìn)行波形仿真驗(yàn)證和FPGA硬件平臺(tái)測(cè)試。通過軟硬件驗(yàn)證測(cè)試表明,本文提出的設(shè)計(jì)方案確實(shí)可行。

參考文獻(xiàn)

[1][巴西]Volnei A.Pedroni著.Circuit Design With VHDL[M].美國(guó):MIT Press,2004.

[2]汪國(guó)強(qiáng).EDA技術(shù)與應(yīng)用[M].北京:電子工業(yè)出版社,2007.

[3]唐俊英.EDA技術(shù)應(yīng)用實(shí)例教程[M].北京:電子工業(yè)出版社,2008.

[4]Floyd T L.數(shù)字電子技術(shù)[M].北京:電子工業(yè)出版社,2014.

[5]閻石.數(shù)字電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社,2006.

[6]李金平,沈明山,姜余祥.電子系統(tǒng)設(shè)計(jì)[M].北京:電子工業(yè)出版社,2012.

作者簡(jiǎn)介

陳龍險(xiǎn)(1986-),男,白族,貴州省盤縣人。大學(xué)本科學(xué)歷。現(xiàn)為青海建筑職業(yè)技術(shù)學(xué)院助教。

篇7

關(guān)鍵詞:電子電路;設(shè)計(jì);調(diào)試

在進(jìn)行電子電路設(shè)計(jì)時(shí),要在理論基礎(chǔ)上結(jié)合實(shí)踐,并且要通過調(diào)試使之更加完善。對(duì)于電子器件來(lái)說,電路設(shè)計(jì)的好不一定安裝出來(lái)會(huì)出現(xiàn)讓人滿意的效果,在實(shí)際執(zhí)行中總會(huì)有誤差出現(xiàn),比如元器件的參數(shù)誤差、電阻阻值誤差等。所以在完成電路設(shè)計(jì)和安裝后必須對(duì)其進(jìn)行調(diào)試,在每一次調(diào)試后對(duì)出現(xiàn)的問題進(jìn)行改進(jìn)和完善,這樣才能使最終設(shè)計(jì)出的電子器件滿足實(shí)際需求。

1 常用電子電路的設(shè)計(jì)方式

在生活中,總會(huì)有一些問題需要設(shè)計(jì)并實(shí)現(xiàn)電子電路來(lái)解決。在進(jìn)行電子電路的方案設(shè)計(jì)時(shí),需要在理論的基礎(chǔ)上結(jié)合實(shí)際情況,在種類繁多的電子元器件中選出合適的部件進(jìn)行電路組裝,在組合的過程中要思考如何運(yùn)用巧妙的方式使最終的成品既滿足實(shí)際的生產(chǎn)需求又滿足簡(jiǎn)單、簡(jiǎn)潔的原則。設(shè)計(jì)者要完成運(yùn)行良好的器件必須具備扎實(shí)的理論基礎(chǔ),同時(shí)還要有豐富的實(shí)踐經(jīng)驗(yàn)和靈活的頭腦。除此之外,在設(shè)計(jì)過程中還要多查閱相干書籍使設(shè)計(jì)方案更加精準(zhǔn)。

1.1 明確電子電路設(shè)計(jì)要求

在進(jìn)行電子電路的設(shè)計(jì)時(shí),要求設(shè)計(jì)者必須對(duì)產(chǎn)品的需求、目的、標(biāo)準(zhǔn)和性能指標(biāo)做到心中有數(shù)。在確定器件參數(shù)時(shí)盡量做到精準(zhǔn),如果參數(shù)無(wú)法確定,那么在進(jìn)行方案設(shè)定時(shí)必須根據(jù)實(shí)際情況留出一些富余量。設(shè)計(jì)者必須在進(jìn)行方案設(shè)計(jì)前對(duì)實(shí)際情況作出調(diào)查,并且能夠針對(duì)具體問題作出詳細(xì)分析,在明確設(shè)計(jì)要求的前提下進(jìn)行電子電路的方案設(shè)計(jì)。

1.2 總體設(shè)計(jì)方案的制定

在進(jìn)行電子電路的設(shè)計(jì)時(shí),首先要明確產(chǎn)品的性能要求和設(shè)計(jì)目的,設(shè)計(jì)者要根據(jù)這些基本信息,同時(shí)結(jié)合自身所掌握的的知識(shí)技能和參考資料提供的數(shù)據(jù),最終制定出幾套備選的總體設(shè)計(jì)方案。要求所制定的總體方案要在滿足設(shè)計(jì)要求的前提下盡可能做到經(jīng)濟(jì)、簡(jiǎn)便、科技水平高、多功能等。然后設(shè)計(jì)者要仔細(xì)分析每一套設(shè)計(jì)方案的優(yōu)點(diǎn)及缺點(diǎn),綜合考慮各方面因素,經(jīng)過不斷對(duì)比和篩選后選擇幾套比較符合標(biāo)準(zhǔn)的方案,進(jìn)而再通過實(shí)際的調(diào)查探究和咨詢確定一套最終方案。在進(jìn)行方案設(shè)計(jì)時(shí),要利用框圖來(lái)展示設(shè)計(jì)原理,不必非常詳細(xì)但要將已經(jīng)確定的部分展示出來(lái)。方案系統(tǒng)框圖要能顯示出設(shè)計(jì)要求和標(biāo)準(zhǔn),各個(gè)部件的自身功能以及各器件之間的聯(lián)系。

1.3 各個(gè)單元的設(shè)計(jì)、器件及參數(shù)選取

⑴單元的設(shè)計(jì)。在進(jìn)行單元設(shè)計(jì)之前,要參照已經(jīng)制定出的總體設(shè)計(jì)方案和器件的設(shè)計(jì)要求,認(rèn)清器件需要完成的任務(wù)是什么以及各個(gè)器件之間是怎樣的關(guān)系。最好可以對(duì)主要單元的性能要求作出明確規(guī)定。如圖1所示,該電路就被分成了幾個(gè)小的單元分別進(jìn)行設(shè)計(jì)。在進(jìn)行具體單元設(shè)計(jì)時(shí),可以參考其他的完善的電路,也可以更具自己的思路進(jìn)行創(chuàng)新。但無(wú)論選擇哪種方式都必須在保證單元性能和要求的前提下進(jìn)行。在具體操作過程中,可以多翻看一些相關(guān)資料,使自身思路更加開闊,使電路盡量簡(jiǎn)單,最好具有經(jīng)濟(jì)節(jié)約的特性。

⑵參數(shù)確定。在進(jìn)行電子電路設(shè)計(jì)時(shí),總會(huì)用到很多參數(shù),而這些參數(shù)是需要通過計(jì)算得到的。在計(jì)算之前必須對(duì)電路的工作原理了然于心,同時(shí)還要明確電路所要完成的具體功能,然后運(yùn)用相關(guān)公式就能得到所需的參數(shù)數(shù)值。

2 常用電子電路的調(diào)試方式

電子電路在設(shè)計(jì)、安裝完成后必須進(jìn)行進(jìn)一步的調(diào)試才能使器件最終滿足總體的設(shè)計(jì)要求,它作為一項(xiàng)不可或缺的重要步驟,是理論與實(shí)踐相結(jié)合的必要途徑。要求調(diào)試者必須同時(shí)具備充足的理論知識(shí)和豐富的實(shí)踐經(jīng)驗(yàn)。實(shí)際的調(diào)試過程一般可以分為以下幾步:

2.1 仔細(xì)檢查

要檢查電路的連接是否正確,比如電容極性是否正確、元器件的安裝位置是否正確、二、三級(jí)管連接是否正確、電源正負(fù)極連接是否正確、是否有接地線和焊接是否符合要求等。

2.2 通電檢測(cè)

在通電前,要先檢查所用電壓的數(shù)值大小和極性是否正確。在通電后要先觀測(cè)器件是否出現(xiàn)發(fā)熱、有異味或者冒煙現(xiàn)象,若有必須立刻斷電進(jìn)行檢查,若無(wú)則可以繼續(xù)調(diào)試。

2.3 分塊調(diào)試

要將整體的電子電路按照不同功能分成不同的模塊,針對(duì)不同模塊分別進(jìn)行調(diào)試。調(diào)試可以分為靜態(tài)調(diào)試和動(dòng)態(tài)調(diào)試。在進(jìn)行靜態(tài)調(diào)試時(shí),對(duì)于模擬電路要檢測(cè)其靜態(tài)工作點(diǎn)以便查看電路的工作狀態(tài)是否正常;對(duì)于數(shù)字電路則要檢測(cè)各個(gè)輸入和輸出端口的電壓是否正常。在調(diào)試時(shí)要先進(jìn)行靜態(tài)調(diào)試,一旦過關(guān)再進(jìn)行下一步的動(dòng)態(tài)調(diào)試。

2.4 聯(lián)機(jī)調(diào)試

在完成分塊調(diào)試后,可以將各個(gè)模塊聯(lián)接起來(lái)構(gòu)成整體進(jìn)行聯(lián)機(jī)調(diào)試。進(jìn)行聯(lián)機(jī)調(diào)試的主要目的是觀測(cè)實(shí)際的運(yùn)行結(jié)果,將結(jié)果與設(shè)計(jì)的總目標(biāo)、總要求和性能指標(biāo)進(jìn)行逐一對(duì)比。發(fā)現(xiàn)問題后進(jìn)行改進(jìn),然后再測(cè)試。在經(jīng)過不斷調(diào)試后,電子電路將逐漸趨于完善進(jìn)而達(dá)到總體的設(shè)計(jì)要求。

[參考文獻(xiàn)]

[1]余春平.淺析電子電路設(shè)計(jì)制作常用調(diào)試方法與步驟[J].時(shí)代報(bào)告(下半月),2012(6):57-98.

篇8

關(guān)鍵詞:VHDL;MAX+PLUSⅡ;仿真;數(shù)字電路

中圖分類號(hào):TP331文獻(xiàn)標(biāo)識(shí)碼:A文章編號(hào):1009-3044(2008)21-30573-02

Application of VHDL in Digital Circuit Teaching

WU Xi-qin

(School of Computer and Information,Hefei University of Technology,Hefei 230009,China)

Abstract:VHDL,as a new type of hardware description language,is used to describe ,stimulate and automatically design digital system.Nowdays, it becomes a key technology in electronic design automatic(EDA).The method and process using VHDL to design digital system is presented through an example of modulo-16 counter .The anticipative target is achieved through stimulation.The result shows that VHDL is strong in hardware description and flexible in design method.It could reduce the design difficulty of digital system and improve efficiency.

Key words:VHDL;MAX+PLUSⅡ;stimulation;digital circuit

1 引言

隨著電子技術(shù)的發(fā)展,數(shù)字系統(tǒng)的設(shè)計(jì)正朝著高速度、大容量、小體積的方向發(fā)展,用傳統(tǒng)的自底而上的設(shè)計(jì)方法已不能滿足要求,迫切需要提高設(shè)計(jì)效率。VHDL語(yǔ)言是一種對(duì)數(shù)字電路和數(shù)字系統(tǒng)進(jìn)行性能描述和模擬的語(yǔ)言,是美國(guó)國(guó)防部在20世紀(jì)70年展的電路設(shè)計(jì)工具,并于1987年成為IEEE的一種標(biāo)準(zhǔn)語(yǔ)言。VHDL是一種面向設(shè)計(jì)的多領(lǐng)域、多層次IEEE標(biāo)準(zhǔn)硬件描述語(yǔ)言,是目前十分流行的硬件描述工具,并且被大多數(shù)EDA工具支持。

2 VHDL優(yōu)點(diǎn)

1)功能強(qiáng)大,描述力強(qiáng)??捎糜陂T級(jí)、電路級(jí)甚至系統(tǒng)級(jí)的描述、仿真和設(shè)計(jì)。

2)可移植性好。對(duì)于設(shè)計(jì)和仿真工具采用相同的描述,對(duì)于不同的平臺(tái)也采用相同的描述。

3)研制周期短,成本低。這主要是由于VHDL支持大規(guī)模設(shè)計(jì)的分解和對(duì)已有設(shè)計(jì)的利用,因此加快了設(shè)計(jì)流程。

4)可以延長(zhǎng)設(shè)計(jì)的生命周期。因?yàn)閂HDL的硬件描述與工藝技術(shù)無(wú)關(guān),不會(huì)因工藝變化而使描述過時(shí)。

5)VHDL對(duì)設(shè)計(jì)的描述具有相對(duì)獨(dú)立性,設(shè)計(jì)者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計(jì)實(shí)現(xiàn)的目標(biāo)器件是什么,而進(jìn)行獨(dú)立的設(shè)計(jì)。

3 VHDL的設(shè)計(jì)流程

VHDL在設(shè)計(jì)過程中,采用自頂向下的方法,首先從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框圖的劃分,然后對(duì)各模塊進(jìn)行設(shè)計(jì)并仿真,再進(jìn)一步綜合進(jìn)行門級(jí)仿真,如果沒有錯(cuò)誤即可下載,最后實(shí)現(xiàn)電路,用VHDL設(shè)計(jì)數(shù)字系統(tǒng)的流程如下:

輸入源程序編譯源程序仿真綜合門級(jí)仿真物理設(shè)計(jì)時(shí)序仿真

VHDL語(yǔ)言已日益成為一種通用的硬件描述語(yǔ)言,計(jì)算機(jī)輔助工程軟件的供應(yīng)商已把VHDL作為其CAD或EDA軟件輸入與輸出的標(biāo)準(zhǔn),其中ALTEKA公司提供了一套十分有特色的綜合工具M(jìn)AX+PLUSⅡ,它提供了全面的邏輯設(shè)計(jì)能力,從編輯、綜合、布線到仿真、下載一氣呵成,十分方便。

4 VHDL設(shè)計(jì)實(shí)例及仿真結(jié)果

4.1 設(shè)計(jì)方案

計(jì)數(shù)器根據(jù)時(shí)鐘信號(hào)的作用分為同步計(jì)數(shù)器和異步計(jì)數(shù)器。同步計(jì)數(shù)器是指構(gòu)成計(jì)數(shù)器的各觸發(fā)器狀態(tài)在同一時(shí)鐘信號(hào)的控制下同時(shí)發(fā)生變化。下面設(shè)計(jì)一個(gè)具有異步清零功能的四位二進(jìn)制同步可逆計(jì)數(shù)器。該計(jì)數(shù)器有一個(gè)上升沿有效的時(shí)鐘輸入端CLK;一個(gè)異步清零端CR(CR低有效);一個(gè)計(jì)數(shù)方向控制器UPDOWN(UPDOWN=1時(shí),進(jìn)行加法運(yùn)算;UPDOWN=0時(shí),進(jìn)行減法運(yùn)算);一個(gè)四位數(shù)據(jù)輸出端COUNT;一個(gè)進(jìn)位輸出端CO。

4.2VHDL語(yǔ)言設(shè)計(jì)的源程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITY cnt4b IS

PORT(CLK:IN STD_LOGIC;

CR:IN STD_LOGIC;

UPDOWN:IN STD_LOGIC;

CO: OUT STD_LOGIC;

COUNT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END cnt4b;

ARCHITECTURE behave OF cnt4b IS

SIGNAL CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

CQI

PROCESS(CLK,CR)

BEGIN

IF (CR='0')THENCQI

ELSIF (CLK'EVENT AND CLK = '1')THEN

IF (UPDOWN='1')THEN

IF (CQI>"1111")THENCQI

ELSE

CQI

END IF;

IF (CQI="1111") THEN

CO

ELSE

CO

END IF;

ELSE

IF (CQI

CQI

ELSE

CQI

END IF;

IF (CQI="0000") THEN CO

ELSECO

END IF;

END IF;

END IF;

COUNT

END PROCESS;

END behave;

在程序輸入完成后,經(jīng)MAX+PLUSⅡ中的Compiler編譯通過后,可用Stimulator進(jìn)行仿真。

4.3 仿真結(jié)果

仿真結(jié)果說明:1)CR為0使計(jì)數(shù)從0開始;

2)UPDOWN為0時(shí),在每個(gè)時(shí)鐘上升沿使計(jì)數(shù)器減1;

3)UPDOWN為1時(shí),在每個(gè)時(shí)鐘上升沿使計(jì)數(shù)器加1;(下轉(zhuǎn)第576頁(yè))

(上接第574頁(yè))

4)CO滯后于COUNT一個(gè)時(shí)鐘。

5 結(jié)束語(yǔ)

VHDL語(yǔ)言具有與硬件無(wú)關(guān)和與設(shè)計(jì)平臺(tái)無(wú)關(guān)的特性,并具有很強(qiáng)的電路描述和建模能力。目前,VHDL已成為電子設(shè)計(jì)自動(dòng)化領(lǐng)域進(jìn)行自上向下設(shè)計(jì)的應(yīng)用方向,是專用數(shù)字集成電路設(shè)計(jì)描述的有力工具,同時(shí)也是邏輯綜合和優(yōu)化的重要基礎(chǔ),作為一種重要的高層設(shè)計(jì)技術(shù),VHDL已成為當(dāng)代電子設(shè)計(jì)者們必須掌握的重要工具。

參考文獻(xiàn):

篇9

關(guān)鍵詞:電子技術(shù)課程;教學(xué)改革;教學(xué)模式

1課程改革歷程

作為一門歷史悠久的專業(yè)基礎(chǔ)課程,武漢工程大學(xué)的電子技術(shù)課程設(shè)計(jì)經(jīng)歷了多次改革的探索和實(shí)踐。在教學(xué)時(shí)間上,課程計(jì)劃時(shí)間起初為兩周;之后為強(qiáng)化模擬電路部分,分為模擬電子技術(shù)課程設(shè)計(jì)和數(shù)字電子技術(shù)課程設(shè)計(jì)兩門課程,各一周時(shí)間,分兩學(xué)期開設(shè);經(jīng)歷幾年的實(shí)踐,再次合并為電子技術(shù)課程設(shè)計(jì)一門課程,教學(xué)時(shí)間恢復(fù)為兩周。在選題上,最初僅有少量以數(shù)字電子技術(shù)為主的項(xiàng)目;在分成兩門單獨(dú)的課程時(shí),單個(gè)課題系統(tǒng)規(guī)模減小,分別對(duì)模擬電路和數(shù)字電路進(jìn)行設(shè)計(jì),同時(shí)增加選題數(shù)量;再次合并為兩周的課程后,教學(xué)組重新論證、選擇、組織、設(shè)計(jì)了一批模擬和數(shù)字電路綜合的題目,并改革了教學(xué)模式和考核方法。經(jīng)過兩年多的實(shí)踐驗(yàn)證,取得了良好的效果。

2教學(xué)內(nèi)容改革

2.1設(shè)計(jì)題目改革的必要性

由于課程開設(shè)多年,連續(xù)使用相同的題目逐漸顯現(xiàn)出明顯的弊端[1]。重復(fù)的選題不容易引起學(xué)生的興趣和積極性,而且往屆設(shè)計(jì)課題的資料積累較多,容易出現(xiàn)抄襲現(xiàn)象,影響課程效果。此外,傳統(tǒng)項(xiàng)目選題數(shù)量偏少,且往往一個(gè)題目?jī)H偏重模電或者數(shù)電一門課程的知識(shí),難以使學(xué)生從中得到更全面的訓(xùn)練。

2.2設(shè)計(jì)題目改革的基本原則和目標(biāo)

(1)應(yīng)保證設(shè)計(jì)題目的綜合性,擴(kuò)展知識(shí)覆蓋面。由于課程設(shè)計(jì)是項(xiàng)目驅(qū)動(dòng),小組合作模式進(jìn)行,每組學(xué)生只能選擇一個(gè)課題來(lái)完成所有設(shè)計(jì)任務(wù)。因此,單個(gè)項(xiàng)目應(yīng)具有一定的綜合性,最好應(yīng)包含數(shù)字電路部分和模擬電路部分,有利于學(xué)生通過一個(gè)課題鍛煉兩個(gè)領(lǐng)域的綜合知識(shí)。經(jīng)電子學(xué)教學(xué)組討論,建議選題時(shí)主要強(qiáng)調(diào)電子技術(shù)基本知識(shí)和技能的訓(xùn)練,增加電子設(shè)計(jì)自動(dòng)化(EDA)軟件的要求,可以適當(dāng)引入傳感器等擴(kuò)展內(nèi)容,但不建議引入后續(xù)課程知識(shí),如單片機(jī)、FPGA、虛擬儀器等獨(dú)立完成項(xiàng)目的設(shè)計(jì)[2]。

(2)題目需難度適當(dāng),在設(shè)計(jì)任務(wù)書中既有功能要求,也有具體的技術(shù)指標(biāo)要求,同時(shí)設(shè)計(jì)要求有一定的靈活性和擴(kuò)展性。既可保證普通水平的學(xué)生可以在既定時(shí)間內(nèi)通過努力達(dá)到基本要求,也能鼓勵(lì)并給有余力的學(xué)生有發(fā)揮的空間。并鼓勵(lì)學(xué)生充分發(fā)揮主觀能動(dòng)性和創(chuàng)新思維。

(3)應(yīng)保證設(shè)計(jì)題目的多樣性,并應(yīng)緊密聯(lián)系工程實(shí)踐應(yīng)用。如果學(xué)生可以有更豐富的可選題目,題目的趣味性和實(shí)用性更強(qiáng),就更有利于激起學(xué)生的學(xué)習(xí)興趣,積極投入設(shè)計(jì)工作。而且選擇不同課題的同學(xué)在設(shè)計(jì)過程中通過相互交流,也可以學(xué)到更多知識(shí)。

2.3選題設(shè)計(jì)

在具體確定選題時(shí),一方面增加新的課題,或者改編一DOI:10.16735/ki.jet.2016.07.004些典型應(yīng)用項(xiàng)目,另一方面,不需要舍棄有價(jià)值、有特色的傳統(tǒng)題目,但是可以改編功能要求,增加新的模塊或性能指標(biāo)要求,以滿足綜合訓(xùn)練的需要或使實(shí)驗(yàn)項(xiàng)目更接近工程實(shí)際。例如,在傳統(tǒng)選題中,對(duì)數(shù)字鐘的功能要求明確,但對(duì)計(jì)時(shí)精度沒有要求,很多設(shè)計(jì)資料中數(shù)字鐘時(shí)基信號(hào)往往采用RC振蕩電路或者555定時(shí)器構(gòu)成的多諧振蕩器來(lái)產(chǎn)生,其精度和穩(wěn)定度是無(wú)法滿足實(shí)際應(yīng)用要求的。在新的課題任務(wù)書中,要求數(shù)字鐘的計(jì)時(shí)誤差必須小于每日1s,因此學(xué)生在考察設(shè)計(jì)方案時(shí)就必須主動(dòng)思考,仔細(xì)辨別,分析確定如何選擇更合適的電路單元來(lái)輸出標(biāo)準(zhǔn)時(shí)基信號(hào)。在頻率計(jì)課題中,除了傳統(tǒng)的振蕩、分頻、計(jì)數(shù)、鎖存模塊設(shè)計(jì),還提出對(duì)輸入被測(cè)信號(hào)進(jìn)行放大整形、自動(dòng)轉(zhuǎn)換量程和顯示控制等功能要求[3]。在新增選題多位數(shù)碼管動(dòng)態(tài)掃描譯碼驅(qū)動(dòng)電路中,在實(shí)現(xiàn)基本的掃描、譯碼、驅(qū)動(dòng)等基本功能之外,要求學(xué)生給出設(shè)計(jì)方案,將控制模塊中振蕩電路部分為采用模擬電路模塊來(lái)實(shí)現(xiàn)。此外還增加或改編設(shè)計(jì)了電子密碼鎖、電子拔河游戲機(jī)、可編程運(yùn)算放大器、簡(jiǎn)易交通燈控制器、聲光報(bào)警定時(shí)搶答器、雙聲道功率放大器、籃球競(jìng)賽24秒計(jì)時(shí)器等綜合性選題。對(duì)某些規(guī)模較小的數(shù)字電路,將直流穩(wěn)壓電源作為一個(gè)輔助模塊,加入到電路系統(tǒng)中。基本上每一個(gè)課題學(xué)生都必須結(jié)合數(shù)字和模擬電路知識(shí),以實(shí)現(xiàn)一個(gè)完整系統(tǒng)的功能和技術(shù)指標(biāo)。

3教學(xué)模式改革

3.1實(shí)施導(dǎo)師制和小組合作模式

傳統(tǒng)的教學(xué)模式是每個(gè)班級(jí)的學(xué)生分成2-3人的小組,每組合作完成一個(gè)選題,每個(gè)班3-5個(gè)選題,指導(dǎo)老師按班級(jí)指導(dǎo)課程設(shè)計(jì)。改革后,在一個(gè)指導(dǎo)周期內(nèi)每位指導(dǎo)老師僅負(fù)責(zé)2-3個(gè)項(xiàng)目,每位導(dǎo)師將不同班級(jí)的學(xué)生按照選題組織在一起。雖然項(xiàng)目仍以小組為單位完成,但可以統(tǒng)一安排設(shè)計(jì)進(jìn)度,組織教學(xué)活動(dòng)。對(duì)學(xué)生來(lái)說,這種模式可創(chuàng)造班級(jí)間和專業(yè)間的交流機(jī)會(huì),碰撞出更多的思想火花,有利于推進(jìn)設(shè)計(jì)進(jìn)度,改善設(shè)計(jì)方案。對(duì)老師來(lái)說,無(wú)需提前準(zhǔn)備和熟悉每個(gè)指導(dǎo)課題的所有材料,也不用在一個(gè)指導(dǎo)周期內(nèi)經(jīng)常在各個(gè)課題之間切換,既提高了教學(xué)率,也減輕了工作負(fù)擔(dān),使教師可能有精力對(duì)課題的設(shè)計(jì)方案做更全面的研究,與學(xué)生進(jìn)行更深入探討。

3.2 “粗化”指導(dǎo)方式,給學(xué)生更多自主性和鍛煉機(jī)會(huì)

教師在介紹、布置設(shè)計(jì)任務(wù)時(shí),僅說明功能、指標(biāo),以電路框圖或模塊結(jié)構(gòu)介紹電路組成,提示可能的設(shè)計(jì)方案,規(guī)定時(shí)間進(jìn)度,但不再給出具體參考電路,也不指定器件,由學(xué)生自主查閱相關(guān)資料,分析討論設(shè)計(jì)方案,經(jīng)指導(dǎo)老師審查后,再領(lǐng)取元件和器材,連接調(diào)試電路。如遇缺少的元器件,經(jīng)老師同意后,學(xué)生可以自行到電子市場(chǎng)購(gòu)買補(bǔ)充。

3.3借助網(wǎng)絡(luò),構(gòu)建多方位、多層次課內(nèi)外交流模式

在指導(dǎo)過程中,每位導(dǎo)師開設(shè)專用QQ群,將同課題大組同學(xué)組織在一個(gè)群中,形成一個(gè)幾十人的學(xué)習(xí)小組。一方面方便導(dǎo)師把教學(xué)資料共享,在課外開展指導(dǎo)和答疑工作,一方面方便選擇同一課題的同學(xué),一起交流心得體會(huì),構(gòu)造互相幫助的平臺(tái)。實(shí)踐證明,這是一種非常積極有效的教學(xué)方式。既營(yíng)造了積極向上的學(xué)習(xí)氣氛,也使教學(xué)的時(shí)間、地點(diǎn)更加靈活,受到學(xué)生的一致歡迎。

3.4開放實(shí)驗(yàn)室資源,提供靈活自主學(xué)習(xí)環(huán)境

在特定時(shí)間段開放實(shí)驗(yàn)室資源,期間學(xué)生可以隨時(shí)來(lái)實(shí)驗(yàn)室調(diào)試電路,并能得到實(shí)驗(yàn)室老師的指導(dǎo)。

3.5引入EDA輔助方法

在進(jìn)行電路設(shè)計(jì)時(shí),要求學(xué)生在EDA仿真軟件中進(jìn)行多組方案的性能比較,分析論證最佳及最可行方案。在電路檢查調(diào)試時(shí),建議學(xué)生比較仿真結(jié)果與實(shí)物電路測(cè)試數(shù)據(jù),排查錯(cuò)誤,改進(jìn)設(shè)計(jì)。對(duì)軟件類型給出建議,但不予限制,學(xué)生可自由選擇Multisim、OrCAD、Proteus等常用EDA軟件。

3.6融入信息素養(yǎng)培養(yǎng)

信息素養(yǎng)是信息意識(shí)、信息能力和信息道德的綜合,反映了一個(gè)人的終身學(xué)習(xí)能力[4]。在電子技術(shù)課程設(shè)計(jì)的教學(xué)中,我們有意識(shí)地引導(dǎo)學(xué)生培養(yǎng)信息觀念,在文獻(xiàn)檢索、方案論證、解決問題、撰寫報(bào)告等工作中,注意提升自己搜尋、鑒別、利用、組織和有效創(chuàng)造、交流信息的能力。

3.7加強(qiáng)過程考查,考核方式多樣化

為了在共用課題和小組合作模式下實(shí)施科學(xué)的、反映個(gè)體差異的評(píng)價(jià),指導(dǎo)教師在考核時(shí)需要跟蹤方案設(shè)計(jì)、電路仿真、連線和調(diào)試過程,了解小組成員的分工合作情況。在電路驗(yàn)收時(shí)進(jìn)行一對(duì)一答辯,要求學(xué)生演示操作電路,回答課題相關(guān)問題。在課程設(shè)計(jì)報(bào)告撰寫時(shí)要求必須獨(dú)立完成,如果出現(xiàn)明顯雷同則需退回重寫,或者直接評(píng)定為不合格。總評(píng)成績(jī)由6個(gè)部分構(gòu)成:調(diào)查證、實(shí)踐能力、分析解決問題能力、工作量和工作態(tài)度、質(zhì)量、創(chuàng)新。

4結(jié)語(yǔ)

在教學(xué)改革的實(shí)踐中,新的課題和新的教學(xué)模式提高了學(xué)生的積極性和學(xué)習(xí)深度,不少學(xué)生反映電子技術(shù)課程設(shè)計(jì)是進(jìn)入大學(xué)以來(lái)最有意思、得到最多鍛煉的一門課,教學(xué)效果得到明顯提升。指導(dǎo)教師們也從課題的深入研究和交流中獲益,對(duì)課程的進(jìn)一步改革和發(fā)展有了更多的思路。

作者:陳柳 劉海英 鄭寬磊 戴璐平 戴麗萍 單位:武漢工程大學(xué) 電氣信息學(xué)院

參考文獻(xiàn):

[1]侯素芳,任艷頻.電子技術(shù)課程設(shè)計(jì)內(nèi)容改革的研究與實(shí)踐[J].實(shí)驗(yàn)室研究與探索,2012(1):108-110.

[2]劉穎,侯建軍,黃亮.“電子技術(shù)課程設(shè)計(jì)”精品課程建設(shè)與改革實(shí)踐[J].電氣電子教學(xué)學(xué)報(bào),2008,30(2):3-4+7.

篇10

關(guān)鍵詞:電子技術(shù)綜合設(shè)計(jì);實(shí)踐能力;創(chuàng)新思維

1引言

隨著石河子大學(xué)人才培養(yǎng)模式的不斷改革,以及社會(huì)對(duì)高等教育培養(yǎng)具備實(shí)踐能力、創(chuàng)新思維人才目標(biāo)要求的提出,實(shí)踐教學(xué)環(huán)節(jié)作為工科專業(yè)人才培養(yǎng)體系中的重要組成部分[1],成為當(dāng)下大學(xué)生創(chuàng)新思維和創(chuàng)新能力培養(yǎng)的重要環(huán)節(jié)。電子技術(shù)綜合設(shè)計(jì)是一門實(shí)踐性非常強(qiáng)的實(shí)訓(xùn)類課程,是電子技術(shù)人才培養(yǎng)成長(zhǎng)的必由之路。由學(xué)生自行設(shè)計(jì)、自行制作和自行調(diào)試電子電路,旨在培養(yǎng)學(xué)生掌握綜合模擬、數(shù)字、高頻電路知識(shí),解決電子信息方面常見實(shí)際問題的能力,培養(yǎng)學(xué)生電子電路設(shè)計(jì)與EDA(ElectronicDesignAutomation)調(diào)試工具的使用方法,以及開展項(xiàng)目管理的基本方法。

2現(xiàn)狀

以往的教學(xué)安排中主要側(cè)重電子電路的設(shè)計(jì)和仿真,留給學(xué)生自己用于思考和設(shè)計(jì)的時(shí)間有限,設(shè)計(jì)基本停留在紙上和計(jì)算機(jī)上。因此,教學(xué)效果很難達(dá)到預(yù)期的教學(xué)目的。雖然學(xué)生在參加接下來(lái)的相關(guān)課程的課程設(shè)計(jì)、大學(xué)生訓(xùn)練計(jì)劃、全國(guó)大學(xué)生電子設(shè)計(jì)大賽、畢業(yè)設(shè)計(jì)時(shí)理論分析能力得到提高,但實(shí)際設(shè)計(jì)和調(diào)試時(shí)卻出現(xiàn)大量問題很難得到快速解決的現(xiàn)象。所以,這種教學(xué)模式不再適應(yīng)目前新的人才培養(yǎng)方案對(duì)于電子信息工程專業(yè)提出的要求以及創(chuàng)新人才的培養(yǎng)。

3課程改革探索與實(shí)踐

電子技術(shù)綜合設(shè)計(jì)課程的改革與探索主要從課程教學(xué)目標(biāo)、課程教學(xué)內(nèi)容、課程教學(xué)實(shí)施、教學(xué)方法、考核方法和教學(xué)效果等幾個(gè)方面進(jìn)行。課程目標(biāo)電子技術(shù)綜合設(shè)計(jì)將學(xué)生已學(xué)過的電路基礎(chǔ)、模擬電路、數(shù)字電路以及高頻電路等課程的知識(shí)綜合運(yùn)用在該課程中[2],從而培養(yǎng)學(xué)生具備電子元器件的識(shí)別和選擇,電子電路仿真和電路設(shè)計(jì)軟件的使用,電子電路的分析和設(shè)計(jì)以及實(shí)際應(yīng)用電路項(xiàng)目的開發(fā)、管理等綜合能力,使學(xué)生切實(shí)經(jīng)歷從原來(lái)課本上的電路到EDA軟件的仿真電路再到實(shí)際看得到、摸得著的電路的實(shí)現(xiàn)過程。該課程是對(duì)現(xiàn)有課程體系的完善和補(bǔ)充[3],幫助學(xué)生拓展視野,提升學(xué)生參加課外科技活動(dòng)、校級(jí)SRP(StudentResearchProject)活動(dòng)、國(guó)家大學(xué)生創(chuàng)新計(jì)劃以及全國(guó)電子設(shè)計(jì)競(jìng)賽等專業(yè)競(jìng)賽的興趣和畢業(yè)設(shè)計(jì)的質(zhì)量與水平。教學(xué)內(nèi)容課程的主要內(nèi)容按照基本知識(shí)驗(yàn)證、專業(yè)知識(shí)綜合、創(chuàng)新設(shè)計(jì)能力培養(yǎng)的原則進(jìn)行安排,主要包括:常用電子元器件基礎(chǔ)知識(shí);常用電子測(cè)量?jī)x表的使用;電路仿真軟件的使用;印刷電路板的設(shè)計(jì)與實(shí)現(xiàn);電子電路系統(tǒng)設(shè)計(jì)方案提出、論證、設(shè)計(jì)、元件焊接、系統(tǒng)調(diào)試;撰寫總結(jié)報(bào)告、答辯等。1)常用電子元器件基礎(chǔ)知識(shí):主要講解電阻、電容、電感、電位器、變壓器等常用元件的區(qū)分,還包括一些電子常用術(shù)語(yǔ),比如單面板、雙面板、焊盤、焊接面、虛焊、橋接等。2)常用電子測(cè)量?jī)x表的使用:包括萬(wàn)用表、示波器、函數(shù)發(fā)生器、直流穩(wěn)壓電源的基本使用方法。3)電路仿真軟件的使用:主要講解電路仿真軟件Multisim的使用。4)印刷電路板的設(shè)計(jì)與實(shí)現(xiàn):AltiumDesigner軟件中電路原理圖的繪制和PCB圖的繪制方法。5)電子電路系統(tǒng)設(shè)計(jì)方案提出、論證、設(shè)計(jì)、元件焊接、系統(tǒng)調(diào)試:對(duì)全班學(xué)生進(jìn)行分組,四個(gè)人一組,每組一個(gè)設(shè)計(jì)題目,每組經(jīng)過方案的提出、討論、修改、教師審核、論證后設(shè)計(jì)出電路仿真圖,仿真沒有問題后設(shè)計(jì)PCB圖,然后制成單面板進(jìn)行元件焊接、調(diào)試。6)撰寫總結(jié)報(bào)告、答辯:系統(tǒng)設(shè)計(jì)完成后,每組撰寫總結(jié)報(bào)告,提出系統(tǒng)的優(yōu)點(diǎn)和設(shè)計(jì)不足,以及設(shè)計(jì)過程中自己的心得體會(huì),最后制作幻燈片進(jìn)行課程匯報(bào)答辯。教學(xué)實(shí)施在完成各個(gè)教學(xué)內(nèi)容時(shí),課程采用項(xiàng)目驅(qū)動(dòng)的方式使學(xué)生在掌握理論知識(shí)的同時(shí),實(shí)踐能力也得到不同程度的提高。整個(gè)教學(xué)過程分為4個(gè)項(xiàng)目進(jìn)行,通過項(xiàng)目的完成,學(xué)生逐步完成課程的學(xué)習(xí),綜合能力也在不知不覺中得到鍛煉。1)基本元件及電路測(cè)試項(xiàng)目。教學(xué)內(nèi)容的前兩部分講解完成后,要求每個(gè)學(xué)生進(jìn)行基本元件參數(shù)的測(cè)試、電路虛焊、雙面板線路測(cè)試等。通過該項(xiàng)目,學(xué)生掌握電子元件與電路測(cè)試的基本方法和常用測(cè)量?jī)x器的使用方法。2)電子電路設(shè)計(jì)和仿真項(xiàng)目。在該項(xiàng)目中,教師首先講解電路仿真軟件Multisim的使用方法,然后以實(shí)例設(shè)計(jì)一個(gè)兩級(jí)晶體管放大電路。在此過程中,教師從元件參數(shù)的選取、放大倍數(shù)的計(jì)算、系統(tǒng)測(cè)試和修改等方面給學(xué)生進(jìn)行講解。講解完成后,學(xué)生參考實(shí)例設(shè)計(jì)一個(gè)放大倍數(shù)不同的晶體管放大電路作為練習(xí)。練習(xí)完成后,全體學(xué)生設(shè)計(jì)一個(gè)波形發(fā)生電路用來(lái)產(chǎn)生方波、三角波信號(hào)。學(xué)生設(shè)計(jì)過程中可相互交流,碰到問題可詢問教師,最終完成項(xiàng)目預(yù)期目標(biāo)。該項(xiàng)目完成后,學(xué)生可以掌握電路仿真軟件的使用方法和電路設(shè)計(jì)的基本原則。3)電子電路制板與焊接調(diào)試項(xiàng)目。前兩階段的項(xiàng)目完成后,教師講解電路制板軟件AltiumDesigner的使用方法和手工腐蝕法制作單面電路的流程,講解和制作過程以上一個(gè)項(xiàng)目中的兩級(jí)晶體管放大電路為例,講解的過程中學(xué)生如果有問題可隨時(shí)提出,教師進(jìn)行解答。最終要求學(xué)生自己實(shí)現(xiàn)一個(gè)兩級(jí)晶體管放大電路的印刷電路板的繪制,以及電路的腐蝕、焊接、通電調(diào)試。通過該項(xiàng)目,學(xué)生掌握了電子電路從書本的理論知識(shí)到實(shí)物實(shí)現(xiàn)的過程。4)綜合設(shè)計(jì)與總結(jié)項(xiàng)目。學(xué)生按學(xué)號(hào)進(jìn)行隨機(jī)選題,題目?jī)?nèi)容涵蓋模擬電路(如連續(xù)可調(diào)直流穩(wěn)壓電源)、數(shù)字電路(如循環(huán)彩燈控制器)、高頻電路(如小信號(hào)阻容耦合放大電路設(shè)計(jì))。題目選定后,題目相同的學(xué)生分成一組,組建項(xiàng)目小組。項(xiàng)目組成員提出設(shè)計(jì)方案,經(jīng)過理論論證,設(shè)計(jì)完成仿真電路和PCB電路,然后采用手工腐蝕法實(shí)現(xiàn)電路系統(tǒng)的板面布線,最后進(jìn)行元件焊接和調(diào)試。系統(tǒng)完成后,整個(gè)課程基本接近尾聲,每組學(xué)生要對(duì)自己的設(shè)計(jì)方案進(jìn)行匯報(bào)答辯。通過該項(xiàng)目,學(xué)生掌握了復(fù)雜電路的設(shè)計(jì)與實(shí)現(xiàn),以及團(tuán)隊(duì)合作完成項(xiàng)目設(shè)計(jì)、管理、總結(jié)的過程。教學(xué)方法課程的教學(xué)方法,打破傳統(tǒng)理論課程完全靠教師講授以及實(shí)驗(yàn)課程以學(xué)生動(dòng)手為主的模式,采用教師講授、項(xiàng)目訓(xùn)練、學(xué)生參與設(shè)計(jì)和討論、分析講解和答辯的形式。學(xué)生有機(jī)會(huì)表達(dá)自己的觀點(diǎn)和設(shè)計(jì)思路,充分調(diào)動(dòng)積極參與的興趣??己朔椒ㄕn程的總評(píng)成績(jī)由5個(gè)部分組成:考勤10%+課程表現(xiàn)10%+項(xiàng)目完成情況30%+課程答辯情況20%+課程報(bào)告30%。新的考核標(biāo)準(zhǔn)打破原來(lái)課程總評(píng)成績(jī)主要由平時(shí)成績(jī)、設(shè)計(jì)成績(jī)兩部分組成的模式,主要以學(xué)生在教學(xué)實(shí)踐活動(dòng)中的參與度和完成度作為考量,注重學(xué)生實(shí)踐能力和綜合能力的培養(yǎng)。教學(xué)效果經(jīng)過兩周的項(xiàng)目驅(qū)動(dòng)訓(xùn)練和實(shí)踐環(huán)節(jié)的總結(jié),學(xué)生對(duì)于測(cè)量?jī)x器的使用更加熟練,對(duì)常用電子元器件的選用和封裝了解得更為清楚,對(duì)電子電路的設(shè)計(jì)和實(shí)現(xiàn)更加有信心,分析問題、解決問題的能力得到了很大的提高。

4結(jié)論

課程改革和實(shí)踐在石河子大學(xué)電子信息工程2012級(jí)、2013級(jí)和2014級(jí)為期兩周的電子技術(shù)綜合設(shè)計(jì)課程中進(jìn)行,學(xué)生對(duì)于課程內(nèi)容安排和各個(gè)環(huán)節(jié)的設(shè)計(jì)比較歡迎,加大了學(xué)生創(chuàng)新思維和創(chuàng)新能力的培養(yǎng)。課程實(shí)施的整個(gè)過程側(cè)重基礎(chǔ)能力培養(yǎng),將項(xiàng)目管理理念貫穿整個(gè)課程的始終,加大創(chuàng)新能力的培養(yǎng)。學(xué)生在后續(xù)的畢業(yè)設(shè)計(jì)和課外科技活動(dòng)中凸顯了較強(qiáng)的實(shí)踐和創(chuàng)新能力。

作者:周濤 張銳敏 劉巧 李栓明 鐘福如 單位:石河子大學(xué)信息科學(xué)與技術(shù)學(xué)院

參考文獻(xiàn)

[1]吳大鵬,黃沛昱.“電子系統(tǒng)綜合設(shè)計(jì)”課程建設(shè)探索[J].電氣電子教學(xué)學(xué)報(bào),2014,36(6):41-43.